always assign begin case casex casez config defparam end endcase endconfig endfunction endgenerate endmodule endprimitive endspecify endtable endtask function generate incdir include initial localparam macromodule module primitive specify table task